본문 바로가기

기타 언어/Verilog1

[Verilog] 베릴로그 랜덤 값 설정하기 / $random / $urandom 랜덤 값 설정하기 input으로 들어갈 값들을 무작위로 설정해 줄 때 변수의 값을 무작위로 정해줘야할 때 $random 혹은 $urandom 을 이용한다. $random%숫자 : 숫자만큼의 범위 안의 무작위수를 32비트 정수로 반환한다. (signed) $urandom%숫자 : 숫자만큼의 양의 범위 안의 무작위수를 32비트 정수로 반환한다. (unsigned) 예시 reg [31:0] a,b; a=$random%1000; // a = -499~+499 사이의 임의의 정수 b=$urandom%1000; // b = 0~999 사이의 임의의 정수 2019. 10. 18.